Welcome![Sign In][Sign Up]
Location:
Search - verilog elevator

Search list

[Other resourcedianti

Description: Verilog在maxpuls2下开发的电梯控制器的文档(包括代码),其中说明十分详尽-Verilog maxpuls2 under development in the elevator controller files (including code), It showed very detailed
Platform: | Size: 74200 | Author: 余远恒 | Hits:

[VHDL-FPGA-Veriloglift_code_verilog

Description: 实现一个4层楼的单电梯控制系统。门可以自动开关也可以手动开关。代码可综合,无多驱动现象。-Realize a 4-story single-elevator control system. Door can automatically switch can also manually switch. Code can be integrated, no more than drive the phenomenon.
Platform: | Size: 3072 | Author: 幻婳 | Hits:

[VHDL-FPGA-VerilogThreeSimulatedElevator

Description: 我们的课程设计,三层电梯控制器模拟程序.用verilog HDL语言编写-Our curriculum design, three-elevator controller simulation program. Verilog HDL language used
Platform: | Size: 11264 | Author: 江欣达 | Hits:

[VHDL-FPGA-Verilogdianti

Description: 电梯控制器程序设计 六层楼 含有详细解释以及波形-Elevator controller program design containing six-storey buildings, as well as a detailed explanation waveform
Platform: | Size: 161792 | Author: 龙丽丽 | Hits:

[VHDL-FPGA-Verilogcall

Description: verilog实现电梯的召唤功能,在quantusII环境下运行,包含工程文件和其他子文件-verilog to achieve the elevator call functions in quantusII environment to run, including engineering documents, and other sub-documents
Platform: | Size: 176128 | Author: bailu | Hits:

[VHDL-FPGA-VerilogverilogClassicSamples

Description: verilog常用程序及其仿真结果整理,包括LCD,LED,AD采集,URAT,电子琴,电梯控制,自动售货机控制,出租车计价器,电子时钟,频率计,MPSK调制与解调-verilog common finishing process and its simulation results, including LCD, LED, AD collection, URAT, keyboard, elevator control, vending machine control, taxi meter, electronic clock, frequency counter, MPSK modulation and demodulation, etc.
Platform: | Size: 1278976 | Author: ZhangYan | Hits:

[VHDL-FPGA-Verilogelevator

Description: 三个八层电梯的控制器,verilog实现。内附有详细设计文档及源码。-The controller of three 8-level elevators, designed with Verilog. The design is detailedly represented in the DOC as well as the source code.
Platform: | Size: 272384 | Author: cc | Hits:

[Windows DevelopVeleevzipe

Description: Verilog 电梯控制器设计 设计一个八层楼房自动电梯控制器,用八个 LED显示出来电梯行进过程,并有数码管显示出来电梯当前所在楼层位置,在每层电梯入口处设有请求按钮开关,请求按钮按下则相应楼层的的LED 亮亮。 用 CLK脉冲控制电梯运动,每来一个 CLK脉冲电梯升(降)一层。电梯到达有请求的楼层后,该层次的指示灯灭,电梯门打开(开门指示灯亮),开门 5 秒后,电梯门自动关闭,电梯继续运行。 -Automatic elevator controller Verilog elevator controller design design an eight-story buildings with eight LED display out of the elevator moving process, and a digital display out of the elevator where the floor position with Request button switch on each elevator entrance, the request button is pressed the corresponding floors LED bright. CLK pulse to control the elevator movement, each to a CLK pulse elevator up (down) layer. The elevator to reach the requested floor, the level of the indicator off, the elevator door open (door lights), open the door five seconds after the elevator doors close automatically, the elevator continues to run.
Platform: | Size: 76800 | Author: leyuan | Hits:

[VHDL-FPGA-Verilogelevator-verilog

Description: 一个电梯,八个楼层,每个楼层有上下按钮,电梯内部有到达各个楼层的按钮。-An elevator eight floors, each floor of the up and down buttons, elevator button inside to reach the various floors.
Platform: | Size: 4096 | Author: zhang | Hits:

[Software Engineeringelevator-verilog

Description: 基于2410开发板控制电梯运行的开发,实现了电梯的基本功能-Development, the basic functions of the elevator control elevator running based on the 2410 development board
Platform: | Size: 703488 | Author: lywshz | Hits:

[VHDL-FPGA-Verilogelevator

Description: 改程序是电梯的控制程序,verilog语言编写-The reform program is the elevator control procedures, verilog language write
Platform: | Size: 737280 | Author: 王金锐 | Hits:

[VHDL-FPGA-Verilogelevator

Description: verilog写的控制电梯的代码。输入多少则计数到那个点后停止计数-elevator controler
Platform: | Size: 704512 | Author: wolf | Hits:

[VHDL-FPGA-Verilogelevator

Description: elevator verilog HDL code
Platform: | Size: 2048 | Author: awrhgar | Hits:

[VHDL-FPGA-Verilogelevator-verilog-code

Description: SRAM CONTROLLER CAN GIVE YOU CORRET IDEA ABOUT VERILOG
Platform: | Size: 24576 | Author: DOSASPATRUNI SIVA | Hits:

[VHDL-FPGA-Verilogelevator

Description: 本人编写的verilog电梯程序,已仿真通过,欢迎大家下载学习,批评指正。-I write verilog lift procedures have been through simulation, welcome to download the study, criticism.
Platform: | Size: 2048 | Author: 范志荣 | Hits:

[Other systemsElevator

Description: 使用verilog语言编写的实现六层楼的电梯调度算法实现。模拟仿真成功-Using verilog language to achieve six-story elevator scheduling algorithm implementation. Successful Simulation
Platform: | Size: 454656 | Author: 徐文锋 | Hits:

[Otherelevator

Description: 用Verilog代码进行电路设计,并在指定可编程FGPA芯片上实现电梯控制器的功能,要能够对多个楼层的请求作出判断。用七段显示器显示当前楼层,led灯表示当前电梯是上还是下状态。-Performed using Verilog code circuit design and realization of the function is specified on the elevator controller chip programmable FGPA, to be able to make a judgment on the request multiple floors. With a seven-segment display shows the current floor, led lights indicate the current state of the elevator is on or under.
Platform: | Size: 188416 | Author: 罗碧 | Hits:

[VHDL-FPGA-VerilogElevator

Description: 基于Spartan-3E板的简易电梯控制,采用verilog编写,LCD1602模拟显示电梯状态-Simple elevator control on Spartan-3E board using verilog write, LCD1602 analog display lift status
Platform: | Size: 386048 | Author: 公孙璃 | Hits:

[VHDL-FPGA-Verilog电梯控制器报告(Verilog实现)

Description: 实现一个简单的电梯控制器,能够完成一个四层电梯的控制(The realization of a simple elevator controller, to complete a four storey elevator control)
Platform: | Size: 16393216 | Author: 星空微尘 | Hits:

[VHDL-FPGA-Verilogelevator

Description: de2-115开发板2人6层电梯Verilog语言实现(Verilog language implementation of de2-115 development board 2-person 6-layer elevator)
Platform: | Size: 3788800 | Author: zyx.... | Hits:
« 1 23 4 »

CodeBus www.codebus.net